Matlab Toolboxes

The following Toolboxes are available under the TAH Campus Licence:
(an example of where to find a Matlab toolbox can be found here)

MATLAB
Simulink
Aerospace Blockset
Aerospace Toolbox
Antenna Toolbox
Audio System Toolbox
Automated Driving System Toolbox
Bioinformatics Toolbox
Communications System Toolbox
Computer Vision System Toolbox
Control System Toolbox
Curve Fitting Toolbox
DSP System Toolbox
Data Acquisition Toolbox
Database Toolbox
Datafeed Toolbox
Econometrics Toolbox
Embedded Coder
Filter Design HDL Coder
Financial Instruments Toolbox
Financial Toolbox
Fixed-Point Designer
Fuzzy Logic Toolbox
GPU Coder
Global Optimization Toolbox
HDL Coder
HDL Verifier
Image Acquisition Toolbox
Image Processing Toolbox
Instrument Control Toolbox
LTE HDL Toolbox
LTE System Toolbox
MATLAB Coder
MATLAB Compiler
MATLAB Compiler SDK
MATLAB Report Generator
Mapping Toolbox
Model Predictive Control Toolbox
Model-Based Calibration Toolbox
Neural Network Toolbox
OPC Toolbox
Optimization Toolbox
Parallel Computing Toolbox
Partial Differential Equation Toolbox
Phased Array System Toolbox
Polyspace Bug Finder
Polyspace Code Prover
Powertrain Blockset
RF Blockset
RF Toolbox
Risk Management Toolbox
Robotics System Toolbox
Robust Control Toolbox
Signal Processing Toolbox
SimBiology
SimEvents
Simscape
Simscape Driveline
Simscape Electronics
Simscape Fluids
Simscape Multibody
Simscape Power Systems
Simulink 3D Animation
Simulink Check
Simulink Code Inspector
Simulink Coder
Simulink Control Design
Simulink Coverage
Simulink Design Optimization
Simulink Design Verifier
Simulink Desktop Real-Time
Simulink PLC Coder
Simulink Real-Time
Simulink Report Generator
Simulink Requirements
Simulink Test
Spreadsheet Link
Stateflow
Statistics and Machine Learning Toolbox
Symbolic Math Toolbox
System Identification Toolbox
Text Analytics Toolbox
Trading Toolbox
Vehicle Network Toolbox
Vision HDL Toolbox
WLAN System Toolbox
Wavelet Toolbox